From 1e8c9642631c0dc34020119fd22841a3bcb5fa72 Mon Sep 17 00:00:00 2001 From: InigoGutierrez Date: Sat, 10 Sep 2022 18:01:32 +0200 Subject: Macro to write [...] on vimwiki files. --- stow/vim/.vim/ftplugin/vimwiki/vimwiki.vim | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/stow/vim/.vim/ftplugin/vimwiki/vimwiki.vim b/stow/vim/.vim/ftplugin/vimwiki/vimwiki.vim index 3a6a661..c904efe 100644 --- a/stow/vim/.vim/ftplugin/vimwiki/vimwiki.vim +++ b/stow/vim/.vim/ftplugin/vimwiki/vimwiki.vim @@ -23,7 +23,6 @@ match TitleHash /^##*/ let mapleader = " " nnoremap C :sp ~/.vim/ftplugin/vimwiki/vimwiki.vim -nnoremap e :Errors nnoremap temp :r ~/.vim/ftplugin/vimwiki/snips/template.txt nnoremap tp :r ~/.vim/ftplugin/vimwiki/snips/plantUml.txt/<+++>cf> @@ -35,3 +34,4 @@ let mapleader = "," inoremap h < l >>A +inoremap . [...] -- cgit v1.2.1